Industriële fabricage
Industrieel internet der dingen | Industriële materialen | Onderhoud en reparatie van apparatuur | Industriële programmering |
home  MfgRobots >> Industriële fabricage >  >> Industrial programming >> Verilog

JK teenslipper

Ontwerp

  
  
module jk_ff ( input j,
               input k,
               input clk,
               output q);

   reg q;

   always @ (posedge clk)
      case ({j,k})
         2'b00 :  q <= q;
         2'b01 :  q <= 0;
         2'b10 :  q <= 1;
         2'b11 :  q <= ~q;
      endcase
endmodule

  

Hardwareschema

Testbank

  
  
module tb_jk;
   reg j;
   reg k;
   reg clk;
   
   always #5 clk = ~clk;
   
   jk_ff    jk0 ( .j(j),
                  .k(k),
                  .clk(clk),
                  .q(q));

   initial begin
      j <= 0;
      k <= 0;
      
      #5 j <= 0;
         k <= 1;
      #20 j <= 1;
          k <= 0;
      #20 j <= 1;
          k <= 1;
      #20 $finish;
   end

   initial
      $monitor ("j=%0d k=%0d q=%0d", j, k, q);
endmodule	

  

Verilog

  1. Inleiding tot Verilog
  2. Verilog-zelfstudie
  3. ASIC-ontwerpstroom
  4. Ontwerp abstractielagen
  5. Verilog-syntaxis
  6. Verilog-gegevenstypen
  7. Verilog scalair en vector
  8. Verilog T-teenslipper
  9. Verilog Mod-N-teller
  10. Verilog grijze toonbank
  11. Draai de schakelaar om:hier wordt een frequentieomvormer voor gebruikt: